Remove panelization to save money at JLCPCB - rev1 -> fab

This commit is contained in:
Keenan Tims 2022-01-11 17:13:44 -08:00
parent 7bcddd197c
commit 2ca47aeeae
Signed by: ktims
GPG Key ID: 11230674D69038D4
18 changed files with 46681 additions and 4740 deletions

View File

@ -0,0 +1,88 @@
# Not ready for KiCad 6 yet
kibot:
version: 1
preflight:
check_zone_fills: true
run_drc: true
run_erc: true
outputs:
- name: 'gerbers'
type: gerber
dir: outputs/gerbers
options:
# generic layer options
exclude_edge_layer: false
exclude_pads_from_silkscreen: false
plot_sheet_reference: false
plot_footprint_refs: true
plot_footprint_values: true
force_plot_invisible_refs_vals: false
tent_vias: true
# gerber options
use_aux_axis_as_origin: false
subtract_mask_from_silk: true
use_protel_extensions: false
gerber_precision: 4.6
create_gerber_job_file: false
use_gerber_x2_attributes: true
use_gerber_net_attributes: true
layers:
- 'F.Cu'
- 'In1.Cu'
- 'In2.Cu'
- 'B.Cu'
- name: 'drills'
type: excellon
dir: outputs/gerbers
- name: 'gerberzip'
type: compress
dir: outputs
options:
files:
- from_output: 'gerbers'
- from_output: 'drills'
- name: 'bom'
dir: outputs
type: bom
- name: 'ibom'
dir: outputs
type: ibom
- name: 'TopComponents'
type: pcbdraw
dir: outputs/images
options:
show_components: true
format: png
- name: 'TopBare'
type: pcbdraw
dir: outputs/images
options:
show_components: false
format: png
- name: 'BottomComponents'
type: pcbdraw
dir: outputs/images
options:
show_components: true
format: png
bottom: true
mirror: true
- name: 'BottomBare'
type: pcbdraw
dir: outputs/images
options:
show_components: false
format: png
bottom: true
mirror: true
# - name: '3d'
# type: render_3d
# dir: outputs/images
# options:
# ray_tracing: true
- name: 'step'
type: step
dir: outputs

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,111 @@
%TF.GenerationSoftware,KiCad,Pcbnew,6.0.0*%
%TF.CreationDate,2022-01-11T02:49:15-08:00*%
%TF.ProjectId,ax5043,61783530-3433-42e6-9b69-6361645f7063,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Soldermask,Bot*%
%TF.FilePolarity,Negative*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 6.0.0) date 2022-01-11 02:49:15*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,2.600000*%
%ADD11C,3.800000*%
%ADD12R,1.700000X1.700000*%
%ADD13O,1.700000X1.700000*%
%ADD14R,2.420000X5.080000*%
%ADD15C,2.050000*%
%ADD16C,2.250000*%
G04 APERTURE END LIST*
D10*
%TO.C,H201*%
X95000000Y-77000000D03*
D11*
X95000000Y-77000000D03*
%TD*%
D12*
%TO.C,J203*%
X117500000Y-54375000D03*
D13*
X117500000Y-56915000D03*
X117500000Y-59455000D03*
%TD*%
D12*
%TO.C,J101*%
X52460000Y-59920000D03*
D13*
X55000000Y-59920000D03*
X52460000Y-62460000D03*
X55000000Y-62460000D03*
X52460000Y-65000000D03*
X55000000Y-65000000D03*
X52460000Y-67540000D03*
X55000000Y-67540000D03*
X52460000Y-70080000D03*
X55000000Y-70080000D03*
%TD*%
D11*
%TO.C,H101*%
X53000000Y-77000000D03*
D10*
X53000000Y-77000000D03*
%TD*%
D14*
%TO.C,J103*%
X109220000Y-74730000D03*
X117980000Y-74730000D03*
%TD*%
D11*
%TO.C,H102*%
X53000000Y-53000000D03*
D10*
X53000000Y-53000000D03*
%TD*%
D11*
%TO.C,H203*%
X122000000Y-53000000D03*
D10*
X122000000Y-53000000D03*
%TD*%
D15*
%TO.C,J102*%
X81700000Y-75700000D03*
D16*
X79160000Y-78240000D03*
X84240000Y-78240000D03*
X84240000Y-73160000D03*
X79160000Y-73160000D03*
%TD*%
D15*
%TO.C,J201*%
X97000000Y-55000000D03*
D16*
X94460000Y-52460000D03*
X94460000Y-57540000D03*
X99540000Y-52460000D03*
X99540000Y-57540000D03*
%TD*%
D10*
%TO.C,H202*%
X122000000Y-77000000D03*
D11*
X122000000Y-77000000D03*
%TD*%
D15*
%TO.C,J202*%
X119900000Y-65800000D03*
D16*
X122440000Y-63260000D03*
X117360000Y-68340000D03*
X122440000Y-68340000D03*
X117360000Y-63260000D03*
%TD*%
D10*
%TO.C,H103*%
X87000000Y-53000000D03*
D11*
X87000000Y-53000000D03*
%TD*%
M02*

View File

@ -0,0 +1,21 @@
%TF.GenerationSoftware,KiCad,Pcbnew,6.0.0*%
%TF.CreationDate,2022-01-11T02:49:15-08:00*%
%TF.ProjectId,ax5043,61783530-3433-42e6-9b69-6361645f7063,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Paste,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 6.0.0) date 2022-01-11 02:49:15*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10R,2.420000X5.080000*%
G04 APERTURE END LIST*
D10*
%TO.C,J103*%
X109220000Y-74730000D03*
X117980000Y-74730000D03*
%TD*%
M02*

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,74 @@
%TF.GenerationSoftware,KiCad,Pcbnew,6.0.0*%
%TF.CreationDate,2022-01-11T02:49:15-08:00*%
%TF.ProjectId,ax5043,61783530-3433-42e6-9b69-6361645f7063,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Profile,NP*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 6.0.0) date 2022-01-11 02:49:15*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%TA.AperFunction,Profile*%
%ADD10C,0.100000*%
%TD*%
G04 APERTURE END LIST*
D10*
X92000000Y-50000000D02*
X122000000Y-50000000D01*
X122000000Y-80000000D02*
G75*
G03*
X125000000Y-77000000I-1J3000001D01*
G01*
X50000000Y-77000000D02*
G75*
G03*
X53000000Y-80000000I3000001J1D01*
G01*
X125000000Y-53000000D02*
X125000000Y-77000000D01*
X92000000Y-64000000D02*
X92000000Y-69000000D01*
X90000000Y-69000000D02*
X90000000Y-64000000D01*
X90000000Y-73000000D02*
X90000000Y-75000000D01*
X90000000Y-75000000D02*
X92000000Y-75000000D01*
X53000000Y-50000000D02*
X92000000Y-50000000D01*
X90000000Y-73000000D02*
X92000000Y-73000000D01*
X92000000Y-55000000D02*
X92000000Y-60000000D01*
X53000000Y-50000000D02*
G75*
G03*
X50000000Y-53000000I1J-3000001D01*
G01*
X92000000Y-69000000D02*
X90000000Y-69000000D01*
X92000000Y-55000000D02*
X90000000Y-55000000D01*
X122000000Y-80000000D02*
X90000000Y-80000000D01*
X90000000Y-80000000D02*
X53000000Y-80000000D01*
X90000000Y-60000000D02*
X92000000Y-60000000D01*
X50000000Y-77000000D02*
X50000000Y-53000000D01*
X90000000Y-64000000D02*
X92000000Y-64000000D01*
X125000000Y-53000000D02*
G75*
G03*
X122000000Y-50000000I-3000001J-1D01*
G01*
X90000000Y-55000000D02*
X90000000Y-60000000D01*
X92000000Y-73000000D02*
X92000000Y-75000000D01*
M02*

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,564 @@
%TF.GenerationSoftware,KiCad,Pcbnew,6.0.0*%
%TF.CreationDate,2022-01-11T02:49:15-08:00*%
%TF.ProjectId,ax5043,61783530-3433-42e6-9b69-6361645f7063,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Soldermask,Top*%
%TF.FilePolarity,Negative*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 6.0.0) date 2022-01-11 02:49:15*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10RoundRect,0.237500X-0.237500X0.300000X-0.237500X-0.300000X0.237500X-0.300000X0.237500X0.300000X0*%
%ADD11RoundRect,0.237500X0.237500X-0.300000X0.237500X0.300000X-0.237500X0.300000X-0.237500X-0.300000X0*%
%ADD12RoundRect,0.237500X-0.300000X-0.237500X0.300000X-0.237500X0.300000X0.237500X-0.300000X0.237500X0*%
%ADD13RoundRect,0.237500X0.300000X0.237500X-0.300000X0.237500X-0.300000X-0.237500X0.300000X-0.237500X0*%
%ADD14C,2.600000*%
%ADD15C,3.800000*%
%ADD16RoundRect,0.062500X0.337500X0.062500X-0.337500X0.062500X-0.337500X-0.062500X0.337500X-0.062500X0*%
%ADD17RoundRect,0.062500X0.062500X0.337500X-0.062500X0.337500X-0.062500X-0.337500X0.062500X-0.337500X0*%
%ADD18R,3.350000X3.350000*%
%ADD19RoundRect,0.237500X-0.287500X-0.237500X0.287500X-0.237500X0.287500X0.237500X-0.287500X0.237500X0*%
%ADD20RoundRect,0.237500X-0.237500X0.287500X-0.237500X-0.287500X0.237500X-0.287500X0.237500X0.287500X0*%
%ADD21RoundRect,0.062500X-0.350000X-0.062500X0.350000X-0.062500X0.350000X0.062500X-0.350000X0.062500X0*%
%ADD22RoundRect,0.062500X-0.062500X-0.350000X0.062500X-0.350000X0.062500X0.350000X-0.062500X0.350000X0*%
%ADD23R,1.650000X1.650000*%
%ADD24RoundRect,0.237500X0.237500X-0.287500X0.237500X0.287500X-0.237500X0.287500X-0.237500X-0.287500X0*%
%ADD25R,1.700000X1.700000*%
%ADD26O,1.700000X1.700000*%
%ADD27R,1.540000X1.800000*%
%ADD28RoundRect,0.237500X0.250000X0.237500X-0.250000X0.237500X-0.250000X-0.237500X0.250000X-0.237500X0*%
%ADD29R,1.500000X1.500000*%
%ADD30RoundRect,0.237500X0.237500X-0.250000X0.237500X0.250000X-0.237500X0.250000X-0.237500X-0.250000X0*%
%ADD31RoundRect,0.237500X-0.237500X0.250000X-0.237500X-0.250000X0.237500X-0.250000X0.237500X0.250000X0*%
%ADD32RoundRect,0.237500X-0.250000X-0.237500X0.250000X-0.237500X0.250000X0.237500X-0.250000X0.237500X0*%
%ADD33RoundRect,0.237500X0.287500X0.237500X-0.287500X0.237500X-0.287500X-0.237500X0.287500X-0.237500X0*%
%ADD34R,2.290000X5.080000*%
%ADD35R,2.420000X5.080000*%
%ADD36C,2.050000*%
%ADD37C,2.250000*%
%ADD38RoundRect,0.100000X0.625000X0.100000X-0.625000X0.100000X-0.625000X-0.100000X0.625000X-0.100000X0*%
%ADD39R,1.680000X1.880000*%
%ADD40R,1.500000X2.000000*%
%ADD41R,3.800000X2.000000*%
G04 APERTURE END LIST*
D10*
%TO.C,C101*%
X60500000Y-53837500D03*
X60500000Y-55562500D03*
%TD*%
D11*
%TO.C,C210*%
X112700000Y-65362500D03*
X112700000Y-63637500D03*
%TD*%
D12*
%TO.C,C116*%
X71037500Y-68600000D03*
X72762500Y-68600000D03*
%TD*%
D13*
%TO.C,C120*%
X82862500Y-66900000D03*
X81137500Y-66900000D03*
%TD*%
D12*
%TO.C,C107*%
X69637500Y-61500000D03*
X71362500Y-61500000D03*
%TD*%
D14*
%TO.C,H201*%
X95000000Y-77000000D03*
D15*
X95000000Y-77000000D03*
%TD*%
D16*
%TO.C,U103*%
X67500000Y-69000000D03*
X67500000Y-68500000D03*
X67500000Y-68000000D03*
X67500000Y-67500000D03*
X67500000Y-67000000D03*
X67500000Y-66500000D03*
X67500000Y-66000000D03*
D17*
X66550000Y-65050000D03*
X66050000Y-65050000D03*
X65550000Y-65050000D03*
X65050000Y-65050000D03*
X64550000Y-65050000D03*
X64050000Y-65050000D03*
X63550000Y-65050000D03*
D16*
X62600000Y-66000000D03*
X62600000Y-66500000D03*
X62600000Y-67000000D03*
X62600000Y-67500000D03*
X62600000Y-68000000D03*
X62600000Y-68500000D03*
X62600000Y-69000000D03*
D17*
X63550000Y-69950000D03*
X64050000Y-69950000D03*
X64550000Y-69950000D03*
X65050000Y-69950000D03*
X65550000Y-69950000D03*
X66050000Y-69950000D03*
X66550000Y-69950000D03*
D18*
X65050000Y-67500000D03*
%TD*%
D10*
%TO.C,C204*%
X108843750Y-53075000D03*
X108843750Y-54800000D03*
%TD*%
D19*
%TO.C,L204*%
X112368750Y-61937500D03*
X114118750Y-61937500D03*
%TD*%
D20*
%TO.C,L205*%
X110743750Y-63562500D03*
X110743750Y-65312500D03*
%TD*%
D21*
%TO.C,U201*%
X106356250Y-58937500D03*
X106356250Y-59437500D03*
X106356250Y-59937500D03*
D22*
X107318750Y-60900000D03*
X107818750Y-60900000D03*
X108318750Y-60900000D03*
D21*
X109281250Y-59937500D03*
X109281250Y-59437500D03*
X109281250Y-58937500D03*
D22*
X108318750Y-57975000D03*
X107818750Y-57975000D03*
X107318750Y-57975000D03*
D23*
X107818750Y-59437500D03*
%TD*%
D12*
%TO.C,C115*%
X71037500Y-66900000D03*
X72762500Y-66900000D03*
%TD*%
D24*
%TO.C,L109*%
X79400000Y-66875000D03*
X79400000Y-65125000D03*
%TD*%
D13*
%TO.C,C202*%
X101981250Y-60037500D03*
X100256250Y-60037500D03*
%TD*%
D19*
%TO.C,L111*%
X107125000Y-68100000D03*
X108875000Y-68100000D03*
%TD*%
D25*
%TO.C,J203*%
X117500000Y-54375000D03*
D26*
X117500000Y-56915000D03*
X117500000Y-59455000D03*
%TD*%
D10*
%TO.C,C206*%
X93400000Y-72175000D03*
X93400000Y-73900000D03*
%TD*%
D19*
%TO.C,L106*%
X74425000Y-66900000D03*
X76175000Y-66900000D03*
%TD*%
D25*
%TO.C,J101*%
X52460000Y-59920000D03*
D26*
X55000000Y-59920000D03*
X52460000Y-62460000D03*
X55000000Y-62460000D03*
X52460000Y-65000000D03*
X55000000Y-65000000D03*
X52460000Y-67540000D03*
X55000000Y-67540000D03*
X52460000Y-70080000D03*
X55000000Y-70080000D03*
%TD*%
D27*
%TO.C,U102*%
X63460000Y-78200000D03*
X66140000Y-78200000D03*
X66140000Y-75400000D03*
X63460000Y-75400000D03*
%TD*%
D11*
%TO.C,C106*%
X69300000Y-75062500D03*
X69300000Y-73337500D03*
%TD*%
D10*
%TO.C,C119*%
X77800000Y-68637500D03*
X77800000Y-70362500D03*
%TD*%
%TO.C,C209*%
X113943750Y-56675000D03*
X113943750Y-58400000D03*
%TD*%
D20*
%TO.C,L103*%
X74400000Y-70225000D03*
X74400000Y-71975000D03*
%TD*%
D28*
%TO.C,R203*%
X97212500Y-65300000D03*
X95387500Y-65300000D03*
%TD*%
D29*
%TO.C,TP201*%
X108300000Y-62900000D03*
%TD*%
D10*
%TO.C,C123*%
X102100000Y-67637500D03*
X102100000Y-69362500D03*
%TD*%
D30*
%TO.C,R102*%
X67700000Y-73312500D03*
X67700000Y-71487500D03*
%TD*%
D28*
%TO.C,C121*%
X100112500Y-74500000D03*
X98287500Y-74500000D03*
%TD*%
D29*
%TO.C,TP102*%
X58300000Y-58200000D03*
%TD*%
D31*
%TO.C,R104*%
X82900000Y-63487500D03*
X82900000Y-65312500D03*
%TD*%
D32*
%TO.C,R202*%
X95387500Y-63700000D03*
X97212500Y-63700000D03*
%TD*%
D12*
%TO.C,C203*%
X103056250Y-58337500D03*
X104781250Y-58337500D03*
%TD*%
D32*
%TO.C,R103*%
X95387500Y-68600000D03*
X97212500Y-68600000D03*
%TD*%
D10*
%TO.C,C207*%
X110743750Y-60175000D03*
X110743750Y-61900000D03*
%TD*%
D15*
%TO.C,H101*%
X53000000Y-77000000D03*
D14*
X53000000Y-77000000D03*
%TD*%
D20*
%TO.C,L203*%
X110743750Y-56662500D03*
X110743750Y-58412500D03*
%TD*%
D24*
%TO.C,L202*%
X107243750Y-54812500D03*
X107243750Y-53062500D03*
%TD*%
D33*
%TO.C,L108*%
X81175000Y-68600000D03*
X79425000Y-68600000D03*
%TD*%
D10*
%TO.C,C118*%
X77800000Y-65137500D03*
X77800000Y-66862500D03*
%TD*%
%TO.C,C114*%
X76000000Y-70237500D03*
X76000000Y-71962500D03*
%TD*%
D34*
%TO.C,J103*%
X113600000Y-74730000D03*
D35*
X109220000Y-74730000D03*
X117980000Y-74730000D03*
%TD*%
D14*
%TO.C,H102*%
X53000000Y-53000000D03*
D15*
X53000000Y-53000000D03*
%TD*%
D31*
%TO.C,R105*%
X102000000Y-72887500D03*
X102000000Y-74712500D03*
%TD*%
D15*
%TO.C,H203*%
X122000000Y-53000000D03*
D14*
X122000000Y-53000000D03*
%TD*%
D11*
%TO.C,C110*%
X69300000Y-70962500D03*
X69300000Y-69237500D03*
%TD*%
D28*
%TO.C,R206*%
X97212500Y-67000000D03*
X95387500Y-67000000D03*
%TD*%
D19*
%TO.C,L107*%
X74425000Y-68600000D03*
X76175000Y-68600000D03*
%TD*%
D10*
%TO.C,C111*%
X69100000Y-64037500D03*
X69100000Y-65762500D03*
%TD*%
D36*
%TO.C,J102*%
X81700000Y-75700000D03*
D37*
X79160000Y-78240000D03*
X84240000Y-78240000D03*
X84240000Y-73160000D03*
X79160000Y-73160000D03*
%TD*%
D19*
%TO.C,L101*%
X65325000Y-63600000D03*
X67075000Y-63600000D03*
%TD*%
D24*
%TO.C,L104*%
X76000000Y-61675000D03*
X76000000Y-59925000D03*
%TD*%
D36*
%TO.C,J201*%
X97000000Y-55000000D03*
D37*
X94460000Y-52460000D03*
X94460000Y-57540000D03*
X99540000Y-52460000D03*
X99540000Y-57540000D03*
%TD*%
D32*
%TO.C,R205*%
X103006250Y-55137500D03*
X104831250Y-55137500D03*
%TD*%
D10*
%TO.C,C208*%
X112343750Y-56675000D03*
X112343750Y-58400000D03*
%TD*%
D19*
%TO.C,L105*%
X77625000Y-61700000D03*
X79375000Y-61700000D03*
%TD*%
D32*
%TO.C,R204*%
X103006250Y-56737500D03*
X104831250Y-56737500D03*
%TD*%
D13*
%TO.C,C104*%
X71362500Y-58300000D03*
X69637500Y-58300000D03*
%TD*%
D12*
%TO.C,C124*%
X103737500Y-68100000D03*
X105462500Y-68100000D03*
%TD*%
%TO.C,C205*%
X107256250Y-56437500D03*
X108981250Y-56437500D03*
%TD*%
D10*
%TO.C,C103*%
X61100000Y-75437500D03*
X61100000Y-77162500D03*
%TD*%
D29*
%TO.C,TP101*%
X58300000Y-55700000D03*
%TD*%
D31*
%TO.C,R106*%
X82900000Y-68587500D03*
X82900000Y-70412500D03*
%TD*%
D32*
%TO.C,R201*%
X95387500Y-62100000D03*
X97212500Y-62100000D03*
%TD*%
D20*
%TO.C,L102*%
X74400000Y-63525000D03*
X74400000Y-65275000D03*
%TD*%
D38*
%TO.C,U104*%
X100050000Y-72875000D03*
X100050000Y-72225000D03*
X100050000Y-71575000D03*
X100050000Y-70925000D03*
X95750000Y-70925000D03*
X95750000Y-71575000D03*
X95750000Y-72225000D03*
X95750000Y-72875000D03*
D39*
X97900000Y-71900000D03*
%TD*%
D11*
%TO.C,C105*%
X66100000Y-73262500D03*
X66100000Y-71537500D03*
%TD*%
D10*
%TO.C,C113*%
X76000000Y-63537500D03*
X76000000Y-65262500D03*
%TD*%
D14*
%TO.C,H202*%
X122000000Y-77000000D03*
D15*
X122000000Y-77000000D03*
%TD*%
D13*
%TO.C,C201*%
X101981250Y-61637500D03*
X100256250Y-61637500D03*
%TD*%
D36*
%TO.C,J202*%
X119900000Y-65800000D03*
D37*
X122440000Y-63260000D03*
X117360000Y-68340000D03*
X122440000Y-68340000D03*
X117360000Y-63260000D03*
%TD*%
D19*
%TO.C,L110*%
X103725000Y-69700000D03*
X105475000Y-69700000D03*
%TD*%
D10*
%TO.C,C125*%
X105500000Y-71337500D03*
X105500000Y-73062500D03*
%TD*%
D24*
%TO.C,L201*%
X103618750Y-61712500D03*
X103618750Y-59962500D03*
%TD*%
D11*
%TO.C,C102*%
X60500000Y-59362500D03*
X60500000Y-57637500D03*
%TD*%
D14*
%TO.C,H103*%
X87000000Y-53000000D03*
D15*
X87000000Y-53000000D03*
%TD*%
D32*
%TO.C,R101*%
X69587500Y-59900000D03*
X71412500Y-59900000D03*
%TD*%
D12*
%TO.C,C122*%
X102037500Y-71300000D03*
X103762500Y-71300000D03*
%TD*%
%TO.C,C117*%
X81037500Y-61700000D03*
X82762500Y-61700000D03*
%TD*%
D11*
%TO.C,C112*%
X74400000Y-61662500D03*
X74400000Y-59937500D03*
%TD*%
D12*
%TO.C,C109*%
X61975000Y-71400000D03*
X63700000Y-71400000D03*
%TD*%
D13*
%TO.C,C108*%
X67062500Y-62000000D03*
X65337500Y-62000000D03*
%TD*%
D40*
%TO.C,U101*%
X67300000Y-52950000D03*
X65000000Y-52950000D03*
D41*
X65000000Y-59250000D03*
D40*
X62700000Y-52950000D03*
%TD*%
M02*

View File

@ -0,0 +1,536 @@
%TF.GenerationSoftware,KiCad,Pcbnew,6.0.0*%
%TF.CreationDate,2022-01-11T02:49:15-08:00*%
%TF.ProjectId,ax5043,61783530-3433-42e6-9b69-6361645f7063,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Paste,Top*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 6.0.0) date 2022-01-11 02:49:15*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
%AMFreePoly0*
4,1,48,0.180784,0.266839,0.197812,0.266839,0.211588,0.256830,0.227783,0.251568,0.237792,0.237792,0.251568,0.227783,0.256830,0.211588,0.266839,0.197812,0.266839,0.180784,0.272101,0.164589,0.272101,-0.164589,0.266839,-0.180784,0.266839,-0.197812,0.256830,-0.211588,0.251568,-0.227783,0.237792,-0.237792,0.227783,-0.251568,0.211588,-0.256830,0.197812,-0.266839,0.180784,-0.266839,
0.164589,-0.272101,-0.164589,-0.272101,-0.180784,-0.266839,-0.197812,-0.266839,-0.211588,-0.256830,-0.227783,-0.251568,-0.237792,-0.237792,-0.251568,-0.227783,-0.256830,-0.211588,-0.266839,-0.197812,-0.266839,-0.180784,-0.272101,-0.164589,-0.272101,0.075523,-0.269420,0.083774,-0.270777,0.092342,-0.259348,0.114772,-0.251568,0.138717,-0.244549,0.143817,-0.240611,0.151545,-0.151546,0.240611,
-0.143816,0.244550,-0.138717,0.251568,-0.114773,0.259348,-0.092342,0.270777,-0.083774,0.269420,-0.075523,0.272101,0.164589,0.272101,0.180784,0.266839,0.180784,0.266839,$1*%
%AMFreePoly1*
4,1,51,0.121446,0.402193,0.123663,0.402787,0.127060,0.401203,0.145390,0.397971,0.159647,0.386008,0.176512,0.378144,0.247132,0.307525,0.250168,0.303189,0.252157,0.302041,0.253440,0.298517,0.264114,0.283273,0.265736,0.264734,0.272101,0.247246,0.272101,-0.247246,0.271182,-0.252459,0.271776,-0.254677,0.270192,-0.258075,0.266960,-0.276402,0.254997,-0.290659,0.247132,-0.307525,
0.176512,-0.378144,0.172177,-0.381179,0.171029,-0.383168,0.167505,-0.384451,0.152260,-0.395125,0.133722,-0.396747,0.116234,-0.403112,-0.186855,-0.403112,-0.197929,-0.401159,-0.201658,-0.401817,-0.204937,-0.399924,-0.216011,-0.397971,-0.232977,-0.383735,-0.252157,-0.372661,-0.254788,-0.365433,-0.260680,-0.360489,-0.264526,-0.338678,-0.272101,-0.317866,-0.272101,0.317866,-0.270148,0.328940,
-0.270806,0.332669,-0.268913,0.335948,-0.266960,0.347022,-0.252724,0.363988,-0.241650,0.383168,-0.234422,0.385799,-0.229478,0.391691,-0.207667,0.395537,-0.186855,0.403112,0.116234,0.403112,0.121446,0.402193,0.121446,0.402193,$1*%
%AMFreePoly2*
4,1,51,0.328940,0.270148,0.332669,0.270806,0.335948,0.268913,0.347022,0.266960,0.363988,0.252724,0.383168,0.241650,0.385799,0.234422,0.391691,0.229478,0.395537,0.207667,0.403112,0.186855,0.403112,-0.116234,0.402193,-0.121446,0.402787,-0.123663,0.401203,-0.127060,0.397971,-0.145390,0.386008,-0.159647,0.378144,-0.176512,0.307524,-0.247133,0.303189,-0.250168,0.302041,-0.252157,
0.298518,-0.253439,0.283273,-0.264114,0.264734,-0.265736,0.247246,-0.272101,-0.247246,-0.272101,-0.252459,-0.271182,-0.254677,-0.271776,-0.258075,-0.270192,-0.276402,-0.266960,-0.290659,-0.254997,-0.307525,-0.247132,-0.378144,-0.176512,-0.381179,-0.172177,-0.383168,-0.171029,-0.384451,-0.167505,-0.395125,-0.152260,-0.396747,-0.133722,-0.403112,-0.116234,-0.403112,0.186855,-0.401159,0.197929,
-0.401817,0.201658,-0.399924,0.204937,-0.397971,0.216011,-0.383735,0.232977,-0.372661,0.252157,-0.365433,0.254788,-0.360489,0.260680,-0.338678,0.264526,-0.317866,0.272101,0.317866,0.272101,0.328940,0.270148,0.328940,0.270148,$1*%
%AMFreePoly3*
4,1,51,0.252459,0.271182,0.254676,0.271776,0.258073,0.270192,0.276402,0.266960,0.290658,0.254997,0.307524,0.247133,0.378144,0.176512,0.381179,0.172177,0.383168,0.171029,0.384451,0.167505,0.395125,0.152260,0.396747,0.133722,0.403112,0.116234,0.403112,-0.186855,0.401159,-0.197929,0.401817,-0.201658,0.399924,-0.204937,0.397971,-0.216011,0.383735,-0.232977,0.372661,-0.252157,
0.365433,-0.254788,0.360489,-0.260680,0.338678,-0.264526,0.317866,-0.272101,-0.317866,-0.272101,-0.328940,-0.270148,-0.332669,-0.270806,-0.335948,-0.268913,-0.347022,-0.266960,-0.363988,-0.252724,-0.383168,-0.241650,-0.385799,-0.234422,-0.391691,-0.229478,-0.395537,-0.207667,-0.403112,-0.186855,-0.403112,0.116234,-0.402193,0.121446,-0.402787,0.123663,-0.401203,0.127060,-0.397971,0.145390,
-0.386008,0.159647,-0.378144,0.176512,-0.307525,0.247132,-0.303189,0.250168,-0.302041,0.252157,-0.298517,0.253440,-0.283273,0.264114,-0.264734,0.265736,-0.247246,0.272101,0.247246,0.272101,0.252459,0.271182,0.252459,0.271182,$1*%
%AMFreePoly4*
4,1,48,0.180784,0.266839,0.197812,0.266839,0.211588,0.256830,0.227783,0.251568,0.237792,0.237792,0.251568,0.227783,0.256830,0.211588,0.266839,0.197812,0.266839,0.180784,0.272101,0.164589,0.272101,-0.075523,0.269420,-0.083774,0.270777,-0.092342,0.259348,-0.114773,0.251568,-0.138717,0.244550,-0.143816,0.240611,-0.151546,0.151545,-0.240611,0.143817,-0.244549,0.138717,-0.251568,
0.114772,-0.259348,0.092342,-0.270777,0.083774,-0.269420,0.075523,-0.272101,-0.164589,-0.272101,-0.180784,-0.266839,-0.197812,-0.266839,-0.211588,-0.256830,-0.227783,-0.251568,-0.237792,-0.237792,-0.251568,-0.227783,-0.256830,-0.211588,-0.266839,-0.197812,-0.266839,-0.180784,-0.272101,-0.164589,-0.272101,0.164589,-0.266839,0.180784,-0.266839,0.197812,-0.256830,0.211588,-0.251568,0.227783,
-0.237792,0.237792,-0.227783,0.251568,-0.211588,0.256830,-0.197812,0.266839,-0.180784,0.266839,-0.164589,0.272101,0.164589,0.272101,0.180784,0.266839,0.180784,0.266839,$1*%
%AMFreePoly5*
4,1,51,0.197929,0.401159,0.201658,0.401817,0.204937,0.399924,0.216011,0.397971,0.232977,0.383735,0.252157,0.372661,0.254788,0.365433,0.260680,0.360489,0.264526,0.338678,0.272101,0.317866,0.272101,-0.317866,0.270148,-0.328940,0.270806,-0.332669,0.268913,-0.335948,0.266960,-0.347022,0.252724,-0.363988,0.241650,-0.383168,0.234422,-0.385799,0.229478,-0.391691,0.207667,-0.395537,
0.186855,-0.403112,-0.116234,-0.403112,-0.121446,-0.402193,-0.123663,-0.402787,-0.127060,-0.401203,-0.145390,-0.397971,-0.159647,-0.386008,-0.176512,-0.378144,-0.247133,-0.307524,-0.250168,-0.303189,-0.252157,-0.302041,-0.253439,-0.298518,-0.264114,-0.283273,-0.265736,-0.264734,-0.272101,-0.247246,-0.272101,0.247246,-0.271182,0.252459,-0.271776,0.254676,-0.270192,0.258073,-0.266960,0.276402,
-0.254997,0.290658,-0.247133,0.307524,-0.176512,0.378144,-0.172177,0.381179,-0.171029,0.383168,-0.167505,0.384451,-0.152260,0.395125,-0.133722,0.396747,-0.116234,0.403112,0.186855,0.403112,0.197929,0.401159,0.197929,0.401159,$1*%
%AMFreePoly6*
4,1,48,0.083774,0.269420,0.092342,0.270777,0.114772,0.259348,0.138717,0.251568,0.143817,0.244549,0.151545,0.240611,0.240611,0.151546,0.244550,0.143816,0.251568,0.138717,0.259348,0.114773,0.270777,0.092342,0.269420,0.083774,0.272101,0.075523,0.272101,-0.164589,0.266839,-0.180784,0.266839,-0.197812,0.256830,-0.211588,0.251568,-0.227783,0.237792,-0.237792,0.227783,-0.251568,
0.211588,-0.256830,0.197812,-0.266839,0.180784,-0.266839,0.164589,-0.272101,-0.164589,-0.272101,-0.180784,-0.266839,-0.197812,-0.266839,-0.211588,-0.256830,-0.227783,-0.251568,-0.237792,-0.237792,-0.251568,-0.227783,-0.256830,-0.211588,-0.266839,-0.197812,-0.266839,-0.180784,-0.272101,-0.164589,-0.272101,0.164589,-0.266839,0.180784,-0.266839,0.197812,-0.256830,0.211588,-0.251568,0.227783,
-0.237792,0.237792,-0.227783,0.251568,-0.211588,0.256830,-0.197812,0.266839,-0.180784,0.266839,-0.164589,0.272101,0.075523,0.272101,0.083774,0.269420,0.083774,0.269420,$1*%
%AMFreePoly7*
4,1,48,0.180784,0.266839,0.197812,0.266839,0.211588,0.256830,0.227783,0.251568,0.237792,0.237792,0.251568,0.227783,0.256830,0.211588,0.266839,0.197812,0.266839,0.180784,0.272101,0.164589,0.272101,-0.164589,0.266839,-0.180784,0.266839,-0.197812,0.256830,-0.211588,0.251568,-0.227783,0.237792,-0.237792,0.227783,-0.251568,0.211588,-0.256830,0.197812,-0.266839,0.180784,-0.266839,
0.164589,-0.272101,-0.075523,-0.272101,-0.083774,-0.269420,-0.092342,-0.270777,-0.114773,-0.259348,-0.138717,-0.251568,-0.143816,-0.244550,-0.151546,-0.240611,-0.240611,-0.151545,-0.244549,-0.143817,-0.251568,-0.138717,-0.259348,-0.114772,-0.270777,-0.092342,-0.269420,-0.083774,-0.272101,-0.075523,-0.272101,0.164589,-0.266839,0.180784,-0.266839,0.197812,-0.256830,0.211588,-0.251568,0.227783,
-0.237792,0.237792,-0.227783,0.251568,-0.211588,0.256830,-0.197812,0.266839,-0.180784,0.266839,-0.164589,0.272101,0.164589,0.272101,0.180784,0.266839,0.180784,0.266839,$1*%
G04 Aperture macros list end*
%ADD10RoundRect,0.237500X-0.237500X0.300000X-0.237500X-0.300000X0.237500X-0.300000X0.237500X0.300000X0*%
%ADD11RoundRect,0.237500X0.237500X-0.300000X0.237500X0.300000X-0.237500X0.300000X-0.237500X-0.300000X0*%
%ADD12RoundRect,0.237500X-0.300000X-0.237500X0.300000X-0.237500X0.300000X0.237500X-0.300000X0.237500X0*%
%ADD13RoundRect,0.237500X0.300000X0.237500X-0.300000X0.237500X-0.300000X-0.237500X0.300000X-0.237500X0*%
%ADD14FreePoly0,180.000000*%
%ADD15FreePoly1,180.000000*%
%ADD16FreePoly2,180.000000*%
%ADD17FreePoly3,180.000000*%
%ADD18FreePoly4,180.000000*%
%ADD19RoundRect,0.201557X0.201556X0.201556X-0.201556X0.201556X-0.201556X-0.201556X0.201556X-0.201556X0*%
%ADD20FreePoly5,180.000000*%
%ADD21FreePoly6,180.000000*%
%ADD22FreePoly7,180.000000*%
%ADD23RoundRect,0.062500X0.337500X0.062500X-0.337500X0.062500X-0.337500X-0.062500X0.337500X-0.062500X0*%
%ADD24RoundRect,0.062500X0.062500X0.337500X-0.062500X0.337500X-0.062500X-0.337500X0.062500X-0.337500X0*%
%ADD25RoundRect,0.237500X-0.287500X-0.237500X0.287500X-0.237500X0.287500X0.237500X-0.287500X0.237500X0*%
%ADD26RoundRect,0.237500X-0.237500X0.287500X-0.237500X-0.287500X0.237500X-0.287500X0.237500X0.287500X0*%
%ADD27RoundRect,0.177500X-0.177500X-0.177500X0.177500X-0.177500X0.177500X0.177500X-0.177500X0.177500X0*%
%ADD28RoundRect,0.062500X-0.350000X-0.062500X0.350000X-0.062500X0.350000X0.062500X-0.350000X0.062500X0*%
%ADD29RoundRect,0.062500X-0.062500X-0.350000X0.062500X-0.350000X0.062500X0.350000X-0.062500X0.350000X0*%
%ADD30RoundRect,0.237500X0.237500X-0.287500X0.237500X0.287500X-0.237500X0.287500X-0.237500X-0.287500X0*%
%ADD31R,1.540000X1.800000*%
%ADD32RoundRect,0.237500X0.250000X0.237500X-0.250000X0.237500X-0.250000X-0.237500X0.250000X-0.237500X0*%
%ADD33RoundRect,0.237500X0.237500X-0.250000X0.237500X0.250000X-0.237500X0.250000X-0.237500X-0.250000X0*%
%ADD34RoundRect,0.237500X-0.237500X0.250000X-0.237500X-0.250000X0.237500X-0.250000X0.237500X0.250000X0*%
%ADD35RoundRect,0.237500X-0.250000X-0.237500X0.250000X-0.237500X0.250000X0.237500X-0.250000X0.237500X0*%
%ADD36RoundRect,0.237500X0.287500X0.237500X-0.287500X0.237500X-0.287500X-0.237500X0.287500X-0.237500X0*%
%ADD37R,2.290000X5.080000*%
%ADD38R,2.420000X5.080000*%
%ADD39RoundRect,0.170000X0.170000X0.210000X-0.170000X0.210000X-0.170000X-0.210000X0.170000X-0.210000X0*%
%ADD40RoundRect,0.100000X0.625000X0.100000X-0.625000X0.100000X-0.625000X-0.100000X0.625000X-0.100000X0*%
%ADD41R,1.500000X2.000000*%
%ADD42R,3.800000X2.000000*%
G04 APERTURE END LIST*
D10*
%TO.C,C101*%
X60500000Y-53837500D03*
X60500000Y-55562500D03*
%TD*%
D11*
%TO.C,C210*%
X112700000Y-65362500D03*
X112700000Y-63637500D03*
%TD*%
D12*
%TO.C,C116*%
X71037500Y-68600000D03*
X72762500Y-68600000D03*
%TD*%
D13*
%TO.C,C120*%
X82862500Y-66900000D03*
X81137500Y-66900000D03*
%TD*%
D12*
%TO.C,C107*%
X69637500Y-61500000D03*
X71362500Y-61500000D03*
%TD*%
D14*
%TO.C,U103*%
X63712500Y-66162500D03*
D15*
X66387500Y-68000000D03*
D16*
X64550000Y-68837500D03*
D17*
X65550000Y-66162500D03*
D18*
X66387500Y-68837500D03*
D19*
X64550000Y-68000000D03*
D15*
X66387500Y-67000000D03*
D19*
X65550000Y-68000000D03*
X65550000Y-67000000D03*
D20*
X63712500Y-68000000D03*
D19*
X64550000Y-67000000D03*
D17*
X64550000Y-66162500D03*
D21*
X66387500Y-66162500D03*
D16*
X65550000Y-68837500D03*
D22*
X63712500Y-68837500D03*
D20*
X63712500Y-67000000D03*
D23*
X67500000Y-69000000D03*
X67500000Y-68500000D03*
X67500000Y-68000000D03*
X67500000Y-67500000D03*
X67500000Y-67000000D03*
X67500000Y-66500000D03*
X67500000Y-66000000D03*
D24*
X66550000Y-65050000D03*
X66050000Y-65050000D03*
X65550000Y-65050000D03*
X65050000Y-65050000D03*
X64550000Y-65050000D03*
X64050000Y-65050000D03*
X63550000Y-65050000D03*
D23*
X62600000Y-66000000D03*
X62600000Y-66500000D03*
X62600000Y-67000000D03*
X62600000Y-67500000D03*
X62600000Y-68000000D03*
X62600000Y-68500000D03*
X62600000Y-69000000D03*
D24*
X63550000Y-69950000D03*
X64050000Y-69950000D03*
X64550000Y-69950000D03*
X65050000Y-69950000D03*
X65550000Y-69950000D03*
X66050000Y-69950000D03*
X66550000Y-69950000D03*
%TD*%
D10*
%TO.C,C204*%
X108843750Y-53075000D03*
X108843750Y-54800000D03*
%TD*%
D25*
%TO.C,L204*%
X112368750Y-61937500D03*
X114118750Y-61937500D03*
%TD*%
D26*
%TO.C,L205*%
X110743750Y-63562500D03*
X110743750Y-65312500D03*
%TD*%
D27*
%TO.C,U201*%
X108228750Y-59027500D03*
X107408750Y-59847500D03*
X107408750Y-59027500D03*
X108228750Y-59847500D03*
D28*
X106356250Y-58937500D03*
X106356250Y-59437500D03*
X106356250Y-59937500D03*
D29*
X107318750Y-60900000D03*
X107818750Y-60900000D03*
X108318750Y-60900000D03*
D28*
X109281250Y-59937500D03*
X109281250Y-59437500D03*
X109281250Y-58937500D03*
D29*
X108318750Y-57975000D03*
X107818750Y-57975000D03*
X107318750Y-57975000D03*
%TD*%
D12*
%TO.C,C115*%
X71037500Y-66900000D03*
X72762500Y-66900000D03*
%TD*%
D30*
%TO.C,L109*%
X79400000Y-66875000D03*
X79400000Y-65125000D03*
%TD*%
D13*
%TO.C,C202*%
X101981250Y-60037500D03*
X100256250Y-60037500D03*
%TD*%
D25*
%TO.C,L111*%
X107125000Y-68100000D03*
X108875000Y-68100000D03*
%TD*%
D10*
%TO.C,C206*%
X93400000Y-72175000D03*
X93400000Y-73900000D03*
%TD*%
D25*
%TO.C,L106*%
X74425000Y-66900000D03*
X76175000Y-66900000D03*
%TD*%
D31*
%TO.C,U102*%
X63460000Y-78200000D03*
X66140000Y-78200000D03*
X66140000Y-75400000D03*
X63460000Y-75400000D03*
%TD*%
D11*
%TO.C,C106*%
X69300000Y-75062500D03*
X69300000Y-73337500D03*
%TD*%
D10*
%TO.C,C119*%
X77800000Y-68637500D03*
X77800000Y-70362500D03*
%TD*%
%TO.C,C209*%
X113943750Y-56675000D03*
X113943750Y-58400000D03*
%TD*%
D26*
%TO.C,L103*%
X74400000Y-70225000D03*
X74400000Y-71975000D03*
%TD*%
D32*
%TO.C,R203*%
X97212500Y-65300000D03*
X95387500Y-65300000D03*
%TD*%
D10*
%TO.C,C123*%
X102100000Y-67637500D03*
X102100000Y-69362500D03*
%TD*%
D33*
%TO.C,R102*%
X67700000Y-73312500D03*
X67700000Y-71487500D03*
%TD*%
D32*
%TO.C,C121*%
X100112500Y-74500000D03*
X98287500Y-74500000D03*
%TD*%
D34*
%TO.C,R104*%
X82900000Y-63487500D03*
X82900000Y-65312500D03*
%TD*%
D35*
%TO.C,R202*%
X95387500Y-63700000D03*
X97212500Y-63700000D03*
%TD*%
D12*
%TO.C,C203*%
X103056250Y-58337500D03*
X104781250Y-58337500D03*
%TD*%
D35*
%TO.C,R103*%
X95387500Y-68600000D03*
X97212500Y-68600000D03*
%TD*%
D10*
%TO.C,C207*%
X110743750Y-60175000D03*
X110743750Y-61900000D03*
%TD*%
D26*
%TO.C,L203*%
X110743750Y-56662500D03*
X110743750Y-58412500D03*
%TD*%
D30*
%TO.C,L202*%
X107243750Y-54812500D03*
X107243750Y-53062500D03*
%TD*%
D36*
%TO.C,L108*%
X81175000Y-68600000D03*
X79425000Y-68600000D03*
%TD*%
D10*
%TO.C,C118*%
X77800000Y-65137500D03*
X77800000Y-66862500D03*
%TD*%
%TO.C,C114*%
X76000000Y-70237500D03*
X76000000Y-71962500D03*
%TD*%
D37*
%TO.C,J103*%
X113600000Y-74730000D03*
D38*
X109220000Y-74730000D03*
X117980000Y-74730000D03*
%TD*%
D34*
%TO.C,R105*%
X102000000Y-72887500D03*
X102000000Y-74712500D03*
%TD*%
D11*
%TO.C,C110*%
X69300000Y-70962500D03*
X69300000Y-69237500D03*
%TD*%
D32*
%TO.C,R206*%
X97212500Y-67000000D03*
X95387500Y-67000000D03*
%TD*%
D25*
%TO.C,L107*%
X74425000Y-68600000D03*
X76175000Y-68600000D03*
%TD*%
D10*
%TO.C,C111*%
X69100000Y-64037500D03*
X69100000Y-65762500D03*
%TD*%
D25*
%TO.C,L101*%
X65325000Y-63600000D03*
X67075000Y-63600000D03*
%TD*%
D30*
%TO.C,L104*%
X76000000Y-61675000D03*
X76000000Y-59925000D03*
%TD*%
D35*
%TO.C,R205*%
X103006250Y-55137500D03*
X104831250Y-55137500D03*
%TD*%
D10*
%TO.C,C208*%
X112343750Y-56675000D03*
X112343750Y-58400000D03*
%TD*%
D25*
%TO.C,L105*%
X77625000Y-61700000D03*
X79375000Y-61700000D03*
%TD*%
D35*
%TO.C,R204*%
X103006250Y-56737500D03*
X104831250Y-56737500D03*
%TD*%
D13*
%TO.C,C104*%
X71362500Y-58300000D03*
X69637500Y-58300000D03*
%TD*%
D12*
%TO.C,C124*%
X103737500Y-68100000D03*
X105462500Y-68100000D03*
%TD*%
%TO.C,C205*%
X107256250Y-56437500D03*
X108981250Y-56437500D03*
%TD*%
D10*
%TO.C,C103*%
X61100000Y-75437500D03*
X61100000Y-77162500D03*
%TD*%
D34*
%TO.C,R106*%
X82900000Y-68587500D03*
X82900000Y-70412500D03*
%TD*%
D35*
%TO.C,R201*%
X95387500Y-62100000D03*
X97212500Y-62100000D03*
%TD*%
D26*
%TO.C,L102*%
X74400000Y-63525000D03*
X74400000Y-65275000D03*
%TD*%
D39*
%TO.C,U104*%
X97480000Y-71430000D03*
X98320000Y-71430000D03*
X98320000Y-72370000D03*
X97480000Y-72370000D03*
D40*
X100050000Y-72875000D03*
X100050000Y-72225000D03*
X100050000Y-71575000D03*
X100050000Y-70925000D03*
X95750000Y-70925000D03*
X95750000Y-71575000D03*
X95750000Y-72225000D03*
X95750000Y-72875000D03*
%TD*%
D11*
%TO.C,C105*%
X66100000Y-73262500D03*
X66100000Y-71537500D03*
%TD*%
D10*
%TO.C,C113*%
X76000000Y-63537500D03*
X76000000Y-65262500D03*
%TD*%
D13*
%TO.C,C201*%
X101981250Y-61637500D03*
X100256250Y-61637500D03*
%TD*%
D25*
%TO.C,L110*%
X103725000Y-69700000D03*
X105475000Y-69700000D03*
%TD*%
D10*
%TO.C,C125*%
X105500000Y-71337500D03*
X105500000Y-73062500D03*
%TD*%
D30*
%TO.C,L201*%
X103618750Y-61712500D03*
X103618750Y-59962500D03*
%TD*%
D11*
%TO.C,C102*%
X60500000Y-59362500D03*
X60500000Y-57637500D03*
%TD*%
D35*
%TO.C,R101*%
X69587500Y-59900000D03*
X71412500Y-59900000D03*
%TD*%
D12*
%TO.C,C122*%
X102037500Y-71300000D03*
X103762500Y-71300000D03*
%TD*%
%TO.C,C117*%
X81037500Y-61700000D03*
X82762500Y-61700000D03*
%TD*%
D11*
%TO.C,C112*%
X74400000Y-61662500D03*
X74400000Y-59937500D03*
%TD*%
D12*
%TO.C,C109*%
X61975000Y-71400000D03*
X63700000Y-71400000D03*
%TD*%
D13*
%TO.C,C108*%
X67062500Y-62000000D03*
X65337500Y-62000000D03*
%TD*%
D41*
%TO.C,U101*%
X67300000Y-52950000D03*
X65000000Y-52950000D03*
D42*
X65000000Y-59250000D03*
D41*
X62700000Y-52950000D03*
%TD*%
M02*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,663 @@
%FSLAX45Y45*%
G04 Gerber Fmt 4.5, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 6.0.0) date 2022-01-11 02:50:17*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%TA.AperFunction,Profile*%
%ADD10C,0.100000*%
%TD*%
%ADD11C,0.200000*%
%ADD12C,0.080000*%
G04 APERTURE END LIST*
D10*
X9200000Y-5000000D02*
X12200000Y-5000000D01*
X12200000Y-8000000D02*
G75*
G03*
X12500000Y-7700000I0J300000D01*
G01*
X5000000Y-7700000D02*
G75*
G03*
X5300000Y-8000000I300000J0D01*
G01*
X12500000Y-5300000D02*
X12500000Y-7700000D01*
X9200000Y-6400000D02*
X9200000Y-6900000D01*
X9000000Y-6900000D02*
X9000000Y-6400000D01*
X9000000Y-7300000D02*
X9000000Y-7500000D01*
X9000000Y-7500000D02*
X9200000Y-7500000D01*
X5300000Y-5000000D02*
X9200000Y-5000000D01*
X9000000Y-7300000D02*
X9200000Y-7300000D01*
X9200000Y-5500000D02*
X9200000Y-6000000D01*
X5300000Y-5000000D02*
G75*
G03*
X5000000Y-5300000I0J-300000D01*
G01*
X9200000Y-6900000D02*
X9000000Y-6900000D01*
X9200000Y-5500000D02*
X9000000Y-5500000D01*
X12200000Y-8000000D02*
X9000000Y-8000000D01*
X9000000Y-8000000D02*
X5300000Y-8000000D01*
X9000000Y-6000000D02*
X9200000Y-6000000D01*
X5000000Y-7700000D02*
X5000000Y-5300000D01*
X9000000Y-6400000D02*
X9200000Y-6400000D01*
X12500000Y-5300000D02*
G75*
G03*
X12200000Y-5000000I-300000J0D01*
G01*
X9000000Y-5500000D02*
X9000000Y-6000000D01*
X9200000Y-7300000D02*
X9200000Y-7500000D01*
D11*
D12*
X9060000Y-5010000D02*
X9140000Y-5090000D01*
X9140000Y-5010000D02*
X9060000Y-5090000D01*
X9060000Y-5110000D02*
X9140000Y-5190000D01*
X9140000Y-5110000D02*
X9060000Y-5190000D01*
X9060000Y-5210000D02*
X9140000Y-5290000D01*
X9140000Y-5210000D02*
X9060000Y-5290000D01*
X9060000Y-5310000D02*
X9140000Y-5390000D01*
X9140000Y-5310000D02*
X9060000Y-5390000D01*
X9060000Y-5410000D02*
X9140000Y-5490000D01*
X9140000Y-5410000D02*
X9060000Y-5490000D01*
X9060000Y-7510000D02*
X9140000Y-7590000D01*
X9140000Y-7510000D02*
X9060000Y-7590000D01*
X9060000Y-7610000D02*
X9140000Y-7690000D01*
X9140000Y-7610000D02*
X9060000Y-7690000D01*
X9060000Y-7710000D02*
X9140000Y-7790000D01*
X9140000Y-7710000D02*
X9060000Y-7790000D01*
X9060000Y-7810000D02*
X9140000Y-7890000D01*
X9140000Y-7810000D02*
X9060000Y-7890000D01*
X9060000Y-7910000D02*
X9140000Y-7990000D01*
X9140000Y-7910000D02*
X9060000Y-7990000D01*
D11*
X5252619Y-8315476D02*
X5252619Y-8115476D01*
X5300238Y-8115476D01*
X5328810Y-8125000D01*
X5347857Y-8144048D01*
X5357381Y-8163095D01*
X5366905Y-8201190D01*
X5366905Y-8229762D01*
X5357381Y-8267857D01*
X5347857Y-8286905D01*
X5328810Y-8305952D01*
X5300238Y-8315476D01*
X5252619Y-8315476D01*
X5452619Y-8315476D02*
X5452619Y-8182143D01*
X5452619Y-8220238D02*
X5462143Y-8201190D01*
X5471667Y-8191667D01*
X5490714Y-8182143D01*
X5509762Y-8182143D01*
X5576429Y-8315476D02*
X5576429Y-8182143D01*
X5576429Y-8115476D02*
X5566905Y-8125000D01*
X5576429Y-8134524D01*
X5585952Y-8125000D01*
X5576429Y-8115476D01*
X5576429Y-8134524D01*
X5700238Y-8315476D02*
X5681190Y-8305952D01*
X5671667Y-8286905D01*
X5671667Y-8115476D01*
X5805000Y-8315476D02*
X5785952Y-8305952D01*
X5776428Y-8286905D01*
X5776428Y-8115476D01*
X6033571Y-8315476D02*
X6033571Y-8115476D01*
X6100238Y-8258333D01*
X6166905Y-8115476D01*
X6166905Y-8315476D01*
X6347857Y-8315476D02*
X6347857Y-8210714D01*
X6338333Y-8191667D01*
X6319286Y-8182143D01*
X6281190Y-8182143D01*
X6262143Y-8191667D01*
X6347857Y-8305952D02*
X6328809Y-8315476D01*
X6281190Y-8315476D01*
X6262143Y-8305952D01*
X6252619Y-8286905D01*
X6252619Y-8267857D01*
X6262143Y-8248809D01*
X6281190Y-8239286D01*
X6328809Y-8239286D01*
X6347857Y-8229762D01*
X6443095Y-8182143D02*
X6443095Y-8382143D01*
X6443095Y-8191667D02*
X6462143Y-8182143D01*
X6500238Y-8182143D01*
X6519286Y-8191667D01*
X6528809Y-8201190D01*
X6538333Y-8220238D01*
X6538333Y-8277381D01*
X6528809Y-8296428D01*
X6519286Y-8305952D01*
X6500238Y-8315476D01*
X6462143Y-8315476D01*
X6443095Y-8305952D01*
X6624048Y-8296428D02*
X6633571Y-8305952D01*
X6624048Y-8315476D01*
X6614524Y-8305952D01*
X6624048Y-8296428D01*
X6624048Y-8315476D01*
X6624048Y-8191667D02*
X6633571Y-8201190D01*
X6624048Y-8210714D01*
X6614524Y-8201190D01*
X6624048Y-8191667D01*
X6624048Y-8210714D01*
D12*
X4915000Y-8605000D02*
X4995000Y-8685000D01*
X4995000Y-8605000D02*
X4915000Y-8685000D01*
D11*
X5290714Y-8535476D02*
X5309762Y-8535476D01*
X5328810Y-8545000D01*
X5338333Y-8554524D01*
X5347857Y-8573571D01*
X5357381Y-8611667D01*
X5357381Y-8659286D01*
X5347857Y-8697381D01*
X5338333Y-8716429D01*
X5328810Y-8725952D01*
X5309762Y-8735476D01*
X5290714Y-8735476D01*
X5271667Y-8725952D01*
X5262143Y-8716429D01*
X5252619Y-8697381D01*
X5243095Y-8659286D01*
X5243095Y-8611667D01*
X5252619Y-8573571D01*
X5262143Y-8554524D01*
X5271667Y-8545000D01*
X5290714Y-8535476D01*
X5443095Y-8716429D02*
X5452619Y-8725952D01*
X5443095Y-8735476D01*
X5433571Y-8725952D01*
X5443095Y-8716429D01*
X5443095Y-8735476D01*
X5566905Y-8621190D02*
X5547857Y-8611667D01*
X5538333Y-8602143D01*
X5528810Y-8583095D01*
X5528810Y-8573571D01*
X5538333Y-8554524D01*
X5547857Y-8545000D01*
X5566905Y-8535476D01*
X5605000Y-8535476D01*
X5624048Y-8545000D01*
X5633571Y-8554524D01*
X5643095Y-8573571D01*
X5643095Y-8583095D01*
X5633571Y-8602143D01*
X5624048Y-8611667D01*
X5605000Y-8621190D01*
X5566905Y-8621190D01*
X5547857Y-8630714D01*
X5538333Y-8640238D01*
X5528810Y-8659286D01*
X5528810Y-8697381D01*
X5538333Y-8716429D01*
X5547857Y-8725952D01*
X5566905Y-8735476D01*
X5605000Y-8735476D01*
X5624048Y-8725952D01*
X5633571Y-8716429D01*
X5643095Y-8697381D01*
X5643095Y-8659286D01*
X5633571Y-8640238D01*
X5624048Y-8630714D01*
X5605000Y-8621190D01*
X5766905Y-8535476D02*
X5785952Y-8535476D01*
X5805000Y-8545000D01*
X5814524Y-8554524D01*
X5824048Y-8573571D01*
X5833571Y-8611667D01*
X5833571Y-8659286D01*
X5824048Y-8697381D01*
X5814524Y-8716429D01*
X5805000Y-8725952D01*
X5785952Y-8735476D01*
X5766905Y-8735476D01*
X5747857Y-8725952D01*
X5738333Y-8716429D01*
X5728809Y-8697381D01*
X5719286Y-8659286D01*
X5719286Y-8611667D01*
X5728809Y-8573571D01*
X5738333Y-8554524D01*
X5747857Y-8545000D01*
X5766905Y-8535476D01*
X5957381Y-8535476D02*
X5976428Y-8535476D01*
X5995476Y-8545000D01*
X6005000Y-8554524D01*
X6014524Y-8573571D01*
X6024048Y-8611667D01*
X6024048Y-8659286D01*
X6014524Y-8697381D01*
X6005000Y-8716429D01*
X5995476Y-8725952D01*
X5976428Y-8735476D01*
X5957381Y-8735476D01*
X5938333Y-8725952D01*
X5928809Y-8716429D01*
X5919286Y-8697381D01*
X5909762Y-8659286D01*
X5909762Y-8611667D01*
X5919286Y-8573571D01*
X5928809Y-8554524D01*
X5938333Y-8545000D01*
X5957381Y-8535476D01*
X6109762Y-8735476D02*
X6109762Y-8602143D01*
X6109762Y-8621190D02*
X6119286Y-8611667D01*
X6138333Y-8602143D01*
X6166905Y-8602143D01*
X6185952Y-8611667D01*
X6195476Y-8630714D01*
X6195476Y-8735476D01*
X6195476Y-8630714D02*
X6205000Y-8611667D01*
X6224048Y-8602143D01*
X6252619Y-8602143D01*
X6271667Y-8611667D01*
X6281190Y-8630714D01*
X6281190Y-8735476D01*
X6376428Y-8735476D02*
X6376428Y-8602143D01*
X6376428Y-8621190D02*
X6385952Y-8611667D01*
X6405000Y-8602143D01*
X6433571Y-8602143D01*
X6452619Y-8611667D01*
X6462143Y-8630714D01*
X6462143Y-8735476D01*
X6462143Y-8630714D02*
X6471667Y-8611667D01*
X6490714Y-8602143D01*
X6519286Y-8602143D01*
X6538333Y-8611667D01*
X6547857Y-8630714D01*
X6547857Y-8735476D01*
X6938333Y-8525952D02*
X6766905Y-8783095D01*
X7195476Y-8535476D02*
X7214524Y-8535476D01*
X7233571Y-8545000D01*
X7243095Y-8554524D01*
X7252619Y-8573571D01*
X7262143Y-8611667D01*
X7262143Y-8659286D01*
X7252619Y-8697381D01*
X7243095Y-8716429D01*
X7233571Y-8725952D01*
X7214524Y-8735476D01*
X7195476Y-8735476D01*
X7176428Y-8725952D01*
X7166905Y-8716429D01*
X7157381Y-8697381D01*
X7147857Y-8659286D01*
X7147857Y-8611667D01*
X7157381Y-8573571D01*
X7166905Y-8554524D01*
X7176428Y-8545000D01*
X7195476Y-8535476D01*
X7347857Y-8716429D02*
X7357381Y-8725952D01*
X7347857Y-8735476D01*
X7338333Y-8725952D01*
X7347857Y-8716429D01*
X7347857Y-8735476D01*
X7481190Y-8535476D02*
X7500238Y-8535476D01*
X7519286Y-8545000D01*
X7528809Y-8554524D01*
X7538333Y-8573571D01*
X7547857Y-8611667D01*
X7547857Y-8659286D01*
X7538333Y-8697381D01*
X7528809Y-8716429D01*
X7519286Y-8725952D01*
X7500238Y-8735476D01*
X7481190Y-8735476D01*
X7462143Y-8725952D01*
X7452619Y-8716429D01*
X7443095Y-8697381D01*
X7433571Y-8659286D01*
X7433571Y-8611667D01*
X7443095Y-8573571D01*
X7452619Y-8554524D01*
X7462143Y-8545000D01*
X7481190Y-8535476D01*
X7614524Y-8535476D02*
X7738333Y-8535476D01*
X7671667Y-8611667D01*
X7700238Y-8611667D01*
X7719286Y-8621190D01*
X7728809Y-8630714D01*
X7738333Y-8649762D01*
X7738333Y-8697381D01*
X7728809Y-8716429D01*
X7719286Y-8725952D01*
X7700238Y-8735476D01*
X7643095Y-8735476D01*
X7624048Y-8725952D01*
X7614524Y-8716429D01*
X7928809Y-8735476D02*
X7814524Y-8735476D01*
X7871667Y-8735476D02*
X7871667Y-8535476D01*
X7852619Y-8564048D01*
X7833571Y-8583095D01*
X7814524Y-8592619D01*
X8109762Y-8535476D02*
X8014524Y-8535476D01*
X8005000Y-8630714D01*
X8014524Y-8621190D01*
X8033571Y-8611667D01*
X8081190Y-8611667D01*
X8100238Y-8621190D01*
X8109762Y-8630714D01*
X8119286Y-8649762D01*
X8119286Y-8697381D01*
X8109762Y-8716429D01*
X8100238Y-8725952D01*
X8081190Y-8735476D01*
X8033571Y-8735476D01*
X8014524Y-8725952D01*
X8005000Y-8716429D01*
X8195476Y-8535476D02*
X8195476Y-8573571D01*
X8271667Y-8535476D02*
X8271667Y-8573571D01*
X8566905Y-8811667D02*
X8557381Y-8802143D01*
X8538333Y-8773571D01*
X8528810Y-8754524D01*
X8519286Y-8725952D01*
X8509762Y-8678333D01*
X8509762Y-8640238D01*
X8519286Y-8592619D01*
X8528810Y-8564048D01*
X8538333Y-8545000D01*
X8557381Y-8516429D01*
X8566905Y-8506905D01*
X8747857Y-8735476D02*
X8633571Y-8735476D01*
X8690714Y-8735476D02*
X8690714Y-8535476D01*
X8671667Y-8564048D01*
X8652619Y-8583095D01*
X8633571Y-8592619D01*
X8871667Y-8535476D02*
X8890714Y-8535476D01*
X8909762Y-8545000D01*
X8919286Y-8554524D01*
X8928810Y-8573571D01*
X8938333Y-8611667D01*
X8938333Y-8659286D01*
X8928810Y-8697381D01*
X8919286Y-8716429D01*
X8909762Y-8725952D01*
X8890714Y-8735476D01*
X8871667Y-8735476D01*
X8852619Y-8725952D01*
X8843095Y-8716429D01*
X8833571Y-8697381D01*
X8824048Y-8659286D01*
X8824048Y-8611667D01*
X8833571Y-8573571D01*
X8843095Y-8554524D01*
X8852619Y-8545000D01*
X8871667Y-8535476D01*
X9176429Y-8735476D02*
X9176429Y-8535476D01*
X9262143Y-8735476D02*
X9262143Y-8630714D01*
X9252619Y-8611667D01*
X9233571Y-8602143D01*
X9205000Y-8602143D01*
X9185952Y-8611667D01*
X9176429Y-8621190D01*
X9385952Y-8735476D02*
X9366905Y-8725952D01*
X9357381Y-8716429D01*
X9347857Y-8697381D01*
X9347857Y-8640238D01*
X9357381Y-8621190D01*
X9366905Y-8611667D01*
X9385952Y-8602143D01*
X9414524Y-8602143D01*
X9433571Y-8611667D01*
X9443095Y-8621190D01*
X9452619Y-8640238D01*
X9452619Y-8697381D01*
X9443095Y-8716429D01*
X9433571Y-8725952D01*
X9414524Y-8735476D01*
X9385952Y-8735476D01*
X9566905Y-8735476D02*
X9547857Y-8725952D01*
X9538333Y-8706905D01*
X9538333Y-8535476D01*
X9719286Y-8725952D02*
X9700238Y-8735476D01*
X9662143Y-8735476D01*
X9643095Y-8725952D01*
X9633571Y-8706905D01*
X9633571Y-8630714D01*
X9643095Y-8611667D01*
X9662143Y-8602143D01*
X9700238Y-8602143D01*
X9719286Y-8611667D01*
X9728810Y-8630714D01*
X9728810Y-8649762D01*
X9633571Y-8668810D01*
X9805000Y-8725952D02*
X9824048Y-8735476D01*
X9862143Y-8735476D01*
X9881190Y-8725952D01*
X9890714Y-8706905D01*
X9890714Y-8697381D01*
X9881190Y-8678333D01*
X9862143Y-8668810D01*
X9833571Y-8668810D01*
X9814524Y-8659286D01*
X9805000Y-8640238D01*
X9805000Y-8630714D01*
X9814524Y-8611667D01*
X9833571Y-8602143D01*
X9862143Y-8602143D01*
X9881190Y-8611667D01*
X9957381Y-8811667D02*
X9966905Y-8802143D01*
X9985952Y-8773571D01*
X9995476Y-8754524D01*
X10005000Y-8725952D01*
X10014524Y-8678333D01*
X10014524Y-8640238D01*
X10005000Y-8592619D01*
X9995476Y-8564048D01*
X9985952Y-8545000D01*
X9966905Y-8516429D01*
X9957381Y-8506905D01*
X10319286Y-8811667D02*
X10309762Y-8802143D01*
X10290714Y-8773571D01*
X10281190Y-8754524D01*
X10271667Y-8725952D01*
X10262143Y-8678333D01*
X10262143Y-8640238D01*
X10271667Y-8592619D01*
X10281190Y-8564048D01*
X10290714Y-8545000D01*
X10309762Y-8516429D01*
X10319286Y-8506905D01*
X10395476Y-8602143D02*
X10395476Y-8735476D01*
X10395476Y-8621190D02*
X10405000Y-8611667D01*
X10424048Y-8602143D01*
X10452619Y-8602143D01*
X10471667Y-8611667D01*
X10481190Y-8630714D01*
X10481190Y-8735476D01*
X10605000Y-8735476D02*
X10585952Y-8725952D01*
X10576429Y-8716429D01*
X10566905Y-8697381D01*
X10566905Y-8640238D01*
X10576429Y-8621190D01*
X10585952Y-8611667D01*
X10605000Y-8602143D01*
X10633571Y-8602143D01*
X10652619Y-8611667D01*
X10662143Y-8621190D01*
X10671667Y-8640238D01*
X10671667Y-8697381D01*
X10662143Y-8716429D01*
X10652619Y-8725952D01*
X10633571Y-8735476D01*
X10605000Y-8735476D01*
X10728810Y-8602143D02*
X10805000Y-8602143D01*
X10757381Y-8535476D02*
X10757381Y-8706905D01*
X10766905Y-8725952D01*
X10785952Y-8735476D01*
X10805000Y-8735476D01*
X11024048Y-8602143D02*
X11024048Y-8802143D01*
X11024048Y-8611667D02*
X11043095Y-8602143D01*
X11081190Y-8602143D01*
X11100238Y-8611667D01*
X11109762Y-8621190D01*
X11119286Y-8640238D01*
X11119286Y-8697381D01*
X11109762Y-8716429D01*
X11100238Y-8725952D01*
X11081190Y-8735476D01*
X11043095Y-8735476D01*
X11024048Y-8725952D01*
X11233571Y-8735476D02*
X11214524Y-8725952D01*
X11205000Y-8706905D01*
X11205000Y-8535476D01*
X11395476Y-8735476D02*
X11395476Y-8630714D01*
X11385952Y-8611667D01*
X11366905Y-8602143D01*
X11328809Y-8602143D01*
X11309762Y-8611667D01*
X11395476Y-8725952D02*
X11376428Y-8735476D01*
X11328809Y-8735476D01*
X11309762Y-8725952D01*
X11300238Y-8706905D01*
X11300238Y-8687857D01*
X11309762Y-8668810D01*
X11328809Y-8659286D01*
X11376428Y-8659286D01*
X11395476Y-8649762D01*
X11462143Y-8602143D02*
X11538333Y-8602143D01*
X11490714Y-8535476D02*
X11490714Y-8706905D01*
X11500238Y-8725952D01*
X11519286Y-8735476D01*
X11538333Y-8735476D01*
X11681190Y-8725952D02*
X11662143Y-8735476D01*
X11624048Y-8735476D01*
X11605000Y-8725952D01*
X11595476Y-8706905D01*
X11595476Y-8630714D01*
X11605000Y-8611667D01*
X11624048Y-8602143D01*
X11662143Y-8602143D01*
X11681190Y-8611667D01*
X11690714Y-8630714D01*
X11690714Y-8649762D01*
X11595476Y-8668810D01*
X11862143Y-8735476D02*
X11862143Y-8535476D01*
X11862143Y-8725952D02*
X11843095Y-8735476D01*
X11805000Y-8735476D01*
X11785952Y-8725952D01*
X11776428Y-8716429D01*
X11766905Y-8697381D01*
X11766905Y-8640238D01*
X11776428Y-8621190D01*
X11785952Y-8611667D01*
X11805000Y-8602143D01*
X11843095Y-8602143D01*
X11862143Y-8611667D01*
X11938333Y-8811667D02*
X11947857Y-8802143D01*
X11966905Y-8773571D01*
X11976428Y-8754524D01*
X11985952Y-8725952D01*
X11995476Y-8678333D01*
X11995476Y-8640238D01*
X11985952Y-8592619D01*
X11976428Y-8564048D01*
X11966905Y-8545000D01*
X11947857Y-8516429D01*
X11938333Y-8506905D01*
M02*

View File

@ -0,0 +1,26 @@
M48
; DRILL file {KiCad 6.0.0} date Tue 11 Jan 2022 02:50:16 AM
; FORMAT={-:-/ absolute / metric / decimal}
; #@! TF.CreationDate,2022-01-11T02:50:16-08:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,6.0.0
; #@! TF.FileFunction,NonPlated,1,4,NPTH
FMAT,2
METRIC
; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill
T1C0.800
%
G90
G05
T1
X91.0Y-50.5
X91.0Y-51.5
X91.0Y-52.5
X91.0Y-53.5
X91.0Y-54.5
X91.0Y-75.5
X91.0Y-76.5
X91.0Y-77.5
X91.0Y-78.5
X91.0Y-79.5
T0
M30

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,472 @@
M48
; DRILL file {KiCad 6.0.0} date Tue 11 Jan 2022 02:50:16 AM
; FORMAT={-:-/ absolute / metric / decimal}
; #@! TF.CreationDate,2022-01-11T02:50:16-08:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,6.0.0
; #@! TF.FileFunction,Plated,1,4,PTH
FMAT,2
METRIC
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T1C0.300
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T2C0.300
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T3C0.400
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T4C0.460
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T5C1.000
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T6C1.500
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T7C1.700
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T8C2.200
%
G90
G05
T1
X56.8Y-67.1
X57.2Y-68.4
X65.38Y-70.781
X70.0Y-64.7
X70.1Y-69.2
X70.3Y-64.0
X70.7Y-69.8
X70.9Y-63.4
X71.1Y-65.2
X71.2Y-70.4
X71.6Y-62.8
X71.7Y-64.6
X71.9Y-70.8
X72.0Y-66.1
X72.2Y-62.2
X72.4Y-63.9
X72.5Y-64.6
X72.7Y-59.9
X72.7Y-70.9
X72.8Y-61.6
X72.8Y-65.9
X73.0Y-63.3
X73.3Y-64.6
X73.4Y-61.0
X73.5Y-62.8
X73.5Y-63.9
X73.5Y-70.9
X73.6Y-66.0
X74.3Y-60.6
X74.3Y-62.7
X74.4Y-71.2
X75.1Y-62.7
X75.2Y-60.7
X75.2Y-66.1
X75.2Y-69.4
X75.4Y-71.1
X76.0Y-62.7
X76.1Y-60.6
X76.4Y-71.2
X76.8Y-62.7
X76.9Y-64.5
X77.0Y-63.7
X77.0Y-65.9
X77.0Y-69.5
X77.1Y-60.8
X77.4Y-71.2
X77.6Y-62.7
X77.9Y-69.7
X78.1Y-60.8
X78.4Y-65.9
X78.4Y-71.1
X78.5Y-62.7
X78.8Y-69.5
X78.8Y-70.2
X79.1Y-60.7
X79.3Y-65.9
X79.4Y-62.7
X79.8Y-69.6
X80.1Y-60.8
X80.2Y-65.9
X80.2Y-75.6
X80.3Y-62.7
X80.5Y-74.8
X80.8Y-69.6
X81.0Y-65.9
X81.1Y-60.7
X81.1Y-72.2
X81.1Y-72.9
X81.1Y-73.6
X81.1Y-74.3
X81.2Y-62.7
X81.3Y-71.5
X81.6Y-70.8
X81.8Y-69.6
X81.9Y-63.5
X81.9Y-64.3
X81.9Y-65.1
X81.9Y-66.0
X82.0Y-60.9
X82.0Y-62.7
X82.3Y-72.9
X82.3Y-73.6
X82.3Y-74.3
X82.4Y-72.1
X82.7Y-71.5
X82.9Y-60.7
X82.9Y-74.8
X83.2Y-75.6
X83.4Y-71.3
X83.6Y-61.0
X83.6Y-62.6
X83.9Y-64.6
X83.9Y-65.4
X83.9Y-66.2
X83.9Y-67.0
X83.9Y-67.8
X83.9Y-68.6
X83.9Y-69.3
X83.9Y-70.0
X83.9Y-70.7
X84.4Y-61.1
X84.4Y-62.6
X84.4Y-64.1
X85.2Y-61.1
X85.2Y-62.6
X85.2Y-64.1
X86.0Y-61.1
X86.0Y-62.6
X86.0Y-64.2
X86.7Y-62.3
X86.7Y-63.1
X86.7Y-64.6
X86.8Y-61.1
X86.8Y-73.3
X87.1Y-65.3
X87.3Y-66.1
X87.3Y-66.9
X87.3Y-67.7
X87.3Y-68.5
X87.4Y-61.1
X87.4Y-63.6
X87.4Y-69.3
X87.5Y-62.3
X87.7Y-70.0
X88.0Y-64.2
X88.1Y-62.9
X88.2Y-70.6
X88.4Y-64.9
X88.6Y-65.7
X88.6Y-66.5
X88.6Y-67.3
X88.6Y-68.1
X88.7Y-68.9
X88.8Y-63.0
X89.1Y-69.6
X89.4Y-61.0
X89.5Y-63.0
X89.5Y-71.6
X89.6Y-70.1
X92.5Y-61.0
X92.5Y-63.0
X92.5Y-71.6
X92.6Y-70.3
X93.1Y-63.0
X93.2Y-61.0
X93.4Y-70.3
X93.4Y-71.6
X94.0Y-61.1
X94.1Y-68.6
X94.2Y-70.3
X94.3Y-71.6
X94.5Y-72.3
X94.5Y-73.1
X94.8Y-61.1
X94.9Y-73.9
X95.0Y-70.3
X95.0Y-71.6
X95.5Y-55.2
X95.5Y-61.1
X95.6Y-54.4
X95.6Y-74.3
X95.7Y-70.2
X95.7Y-73.5
X95.9Y-56.0
X96.0Y-60.6
X96.1Y-53.8
X96.3Y-56.7
X96.3Y-57.5
X96.3Y-58.3
X96.3Y-59.1
X96.3Y-59.9
X96.3Y-63.0
X96.3Y-64.1
X96.3Y-74.1
X96.5Y-66.1
X96.8Y-53.5
X96.8Y-71.5
X96.9Y-61.4
X96.9Y-72.5
X97.1Y-73.9
X97.3Y-60.8
X97.3Y-72.0
X97.4Y-71.2
X97.5Y-70.4
X97.6Y-53.6
X97.6Y-56.9
X97.6Y-57.7
X97.6Y-58.5
X97.6Y-59.3
X97.6Y-60.1
X97.8Y-69.7
X97.8Y-73.4
X97.9Y-56.2
X97.9Y-64.5
X98.2Y-54.1
X98.2Y-63.8
X98.2Y-65.1
X98.3Y-72.8
X98.4Y-55.6
X98.5Y-54.8
X98.5Y-61.9
X98.6Y-72.0
X98.7Y-63.1
X98.7Y-68.8
X99.3Y-61.9
X99.4Y-64.7
X99.4Y-67.7
X99.4Y-68.5
X99.5Y-63.1
X99.6Y-66.6
X99.6Y-69.8
X100.0Y-65.9
X100.0Y-69.1
X100.2Y-63.1
X100.4Y-69.8
X100.6Y-65.3
X100.7Y-67.6
X100.7Y-68.3
X100.8Y-69.1
X100.9Y-66.9
X101.2Y-70.6
X101.3Y-64.9
X101.5Y-66.3
X101.7Y-62.8
X102.1Y-64.7
X102.1Y-70.3
X102.3Y-66.0
X102.4Y-62.6
X102.7Y-72.1
X102.8Y-60.8
X102.9Y-64.7
X102.9Y-70.5
X103.0Y-67.2
X103.0Y-68.9
X103.1Y-65.9
X103.2Y-62.7
X103.4Y-72.3
X103.7Y-64.7
X103.8Y-67.1
X103.9Y-65.9
X104.0Y-62.7
X104.2Y-72.3
X104.3Y-60.8
X104.5Y-64.7
X104.6Y-61.5
X104.6Y-62.2
X104.6Y-67.2
X104.6Y-68.9
X104.6Y-70.5
X104.7Y-65.9
X105.0Y-60.6
X105.0Y-72.3
X105.3Y-64.7
X105.4Y-67.1
X105.5Y-65.9
X105.8Y-60.6
X105.8Y-72.3
X106.1Y-64.7
X106.2Y-67.2
X106.2Y-68.9
X106.3Y-65.9
X106.4Y-70.3
X106.4Y-71.9
X106.5Y-69.5
X106.5Y-71.1
X106.6Y-60.5
X106.9Y-64.7
X107.0Y-67.1
X107.1Y-65.9
X107.1Y-69.1
X107.7Y-64.7
X107.8Y-67.2
X107.9Y-65.9
X107.9Y-68.9
X108.5Y-64.7
X108.6Y-67.1
X108.7Y-65.9
X108.7Y-69.1
X109.0Y-58.2
X109.0Y-61.6
X109.2Y-60.6
X109.3Y-64.7
X109.4Y-67.2
X109.5Y-65.9
X109.5Y-69.0
X109.8Y-58.2
X109.8Y-60.6
X110.0Y-64.5
X110.2Y-67.5
X110.2Y-68.7
X110.3Y-66.3
X111.0Y-67.5
X111.0Y-68.7
X111.1Y-66.3
X111.6Y-63.0
X111.7Y-59.7
X111.7Y-60.5
X111.7Y-63.8
X111.7Y-64.5
X111.8Y-67.6
X111.8Y-68.9
X111.9Y-66.2
X112.0Y-72.6
X112.0Y-73.4
X112.0Y-74.2
X112.0Y-75.0
X112.0Y-75.8
X112.0Y-76.5
X112.0Y-77.2
X112.2Y-71.8
X112.4Y-62.9
X112.5Y-69.4
X112.6Y-67.9
X112.7Y-66.4
X112.9Y-70.1
X113.0Y-70.9
X113.0Y-71.7
X113.2Y-62.9
X113.3Y-68.4
X113.5Y-64.7
X113.5Y-66.1
X113.8Y-69.1
X114.0Y-62.9
X114.2Y-69.9
X114.2Y-70.7
X114.2Y-71.5
X114.3Y-61.0
X114.3Y-64.7
X114.3Y-66.0
X114.8Y-62.9
X114.9Y-71.7
X115.0Y-61.2
X115.1Y-64.7
X115.1Y-66.0
X115.2Y-72.5
X115.2Y-73.3
X115.2Y-74.1
X115.2Y-74.9
X115.2Y-75.7
X115.2Y-76.5
X115.2Y-77.2
X115.9Y-64.7
X115.9Y-66.0
X116.7Y-64.7
X116.7Y-66.0
X117.5Y-64.7
X117.5Y-66.0
X118.3Y-64.7
X118.3Y-66.0
X118.7Y-66.7
X119.1Y-64.5
X119.4Y-67.2
X120.1Y-64.3
X120.2Y-67.3
X120.9Y-64.7
X120.9Y-66.9
X121.3Y-65.4
X121.3Y-66.2
T2
X64.05Y-66.5
X64.05Y-67.5
X64.05Y-68.5
X65.05Y-66.5
X65.05Y-67.5
X65.05Y-68.5
X66.05Y-66.5
X66.05Y-67.5
X66.05Y-68.5
X107.244Y-58.862
X107.244Y-60.012
X108.394Y-58.862
X108.394Y-60.012
T3
X60.5Y-56.6
X61.0Y-71.4
X62.5Y-59.3
X63.4Y-74.1
X64.206Y-71.4
X67.3Y-54.5
X67.5Y-59.3
X68.6Y-53.0
X68.644Y-74.856
X80.0Y-51.0
X94.2Y-65.6
X96.198Y-65.3
X96.401Y-62.1
X98.2Y-67.0
X99.099Y-74.6
X100.917Y-60.1
X100.917Y-61.6
X101.0Y-74.5
X101.3Y-69.7
X103.795Y-58.911
X104.719Y-59.237
X106.4Y-73.1
X108.219Y-55.737
X109.744Y-53.037
X110.744Y-55.637
X112.4Y-59.3
X114.0Y-59.3
X115.1Y-62.0
T4
X109.22Y-71.29
X117.98Y-71.29
T5
X52.46Y-59.92
X52.46Y-62.46
X52.46Y-65.0
X52.46Y-67.54
X52.46Y-70.08
X55.0Y-59.92
X55.0Y-62.46
X55.0Y-65.0
X55.0Y-67.54
X55.0Y-70.08
X117.5Y-54.375
X117.5Y-56.915
X117.5Y-59.455
T6
X81.7Y-75.7
X97.0Y-55.0
X119.9Y-65.8
T7
X79.16Y-73.16
X79.16Y-78.24
X84.24Y-73.16
X84.24Y-78.24
X94.46Y-52.46
X94.46Y-57.54
X99.54Y-52.46
X99.54Y-57.54
X117.36Y-63.26
X117.36Y-68.34
X122.44Y-63.26
X122.44Y-68.34
T8
X53.0Y-53.0
X53.0Y-77.0
X87.0Y-53.0
X95.0Y-77.0
X122.0Y-53.0
X122.0Y-77.0
T0
M30

View File

@ -1,7 +1,7 @@
(footprint "MouseBites-5mm" (version 20211014) (generator pcbnew)
(layer "F.Cu")
(tedit 61DD5A76)
(fp_text reference "REF**" (at 4 -4 unlocked) (layer "F.SilkS")
(tedit 61DD602D)
(fp_text reference "REF**" (at 4 -4 unlocked) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 4045831e-2a8c-4c83-9815-ea4fe6f198ba)
)